专利摘要:
用於蝕刻的原子層的基板處理系統和方法被揭露。本方法和系統係安排為引入第一氣體到處理室中,此氣體為適於蝕刻該層的蝕刻劑氣體,以及允許第一氣體在足以導致至少一些第一氣體吸附進該層的一段時間內出現於處理室。在處理室中,第一氣體實質上被惰性氣體取代,且亞穩態粒子接著從惰性氣體產生,以用亞穩態粒子蝕刻該層,同時實質上防止電漿帶電物種蝕刻該層。
公开号:TW201320184A
申请号:TW101125634
申请日:2012-07-17
公开日:2013-05-16
发明作者:Harmeet Singh
申请人:Lam Res Corp;
IPC主号:H01L21-00
专利说明:
原子層蝕刻用之方法與設備
一般而言,本發明關於晶圓狀物件(例如半導體晶圓)之表面處理用裝置,其中一或多處理流體可以從封閉的製程腔室被回收。
關於半導體裝置的製造,在進行非常精細的精度的關鍵蝕刻時,原子層蝕刻是在本領域中公知的技術。在原子層蝕刻中,蝕刻係當試圖避免不必要的次表面損壞或不需要的修改時,於薄層上進行。例如,原子層蝕刻也可於覆蓋另一個關鍵層的非常薄的層上進行蝕刻。原子層蝕刻也可以在,例如,當大量的蝕刻步驟結束,試圖清除一層同時確保剩餘薄層的蝕刻不會導致其它底下的層與/或底下的結構的損害時被採用。
詳言之,使用電漿來蝕刻,已知有會導致上述底下的結構和/或底下的層的損壞或被修改的可能性。閘介電層下方的矽在電漿蝕刻時的損失是一個次表面損失的例子,亦即,即使在薄閘極介電層(通常是氧化矽)出現時,蝕刻閘極時矽都會凹陷。在某些情況下,離子能量大於100 eV的電漿蝕刻已知會導致表面以下深度約20-40埃的損壞。因此,於典型的厚度約10埃的閘氧化層,在閘極蝕刻後常會觀察到約10-20埃的矽凹陷。
本發明關於在製造半導體裝置時,進行原子層蝕刻的改良設備與方法。
在一實施例中,本發明關於一種在半導體處理室中用於蝕刻基片上的一層的方法。此方法包括:引入第一氣體到處理室中,此氣體是適於蝕刻該層的蝕刻劑氣體。此方法還包括允許第一氣體在足以導致至少一些第一氣體吸附進該層的一段時間內出現於處理室。此方法進一步包括實質上在處理室中將第一氣體替換為一惰性氣體。此方法更包括從惰性氣體產生亞穩態粒子,以及以亞穩態粒子蝕刻該層。
上述概要僅關於本文中所公開的本發明的許多實施例中的一個,且不意欲限制本發明的範圍,其由本文中的申請專利範圍界定。本發明的這些和其他特徵將在下面的本發明實施例的詳細說明中結合以下附圖式更詳細的說明。
以下將參考幾個如附隨的圖式所繪示的實施例來詳細說明本發明。
在以下的說明中,提供了許多具體的細節,以便充份理解本發明。然而,本領域的技術人員在沒有部份或全部的這些具體細節的情況下,顯而易見地也可以實施本發明。在其他例子中,眾所周知的製程步驟和/或結構不會詳細描述,以便不必要地模糊了本發明。
下文中描述各種實施例,包括方法和技術。應當牢記的是,本發明可能還包括製品,包括儲存用於執行本發明技術的實施例的電腦可讀指令的電腦可讀取媒體。電腦可讀取媒體可包括,例如,半導體、磁、光磁、光、或其他形式的用於存儲電腦可讀代碼的電腦可讀取媒體。此外,本發明可能還包括用於實施本發明實施例的設備。這種設備可包括專用的和/或可程式化的電路,以執行與本發明的實施例有關的工作。這種設備的例子包括通用的電腦和/或專用的適當地程式化的計算裝置,且包括適於各種本發明施例相關任務的電腦/計算裝置與專用/可程式化電路的組合。
本發明的實施例涉及在基板上的層(例如半導體晶圓或平板)進行原子層蝕刻的裝置和方法。在一或多個實施例中,適於蝕刻該基板層的蝕刻劑氣體被引入到半導體處理室中。例如,為了蝕刻矽層,蝕刻劑氣體可為Cl2、HCl、CHF3、CH2F2、CH3F、H2、BCl3、SiCl4、Br2、HBr、NF3、CF4、SF6、O2、SO2、COS等等中的一種或其混合物。蝕刻劑氣體被允許在處理室中出現足夠的時間,以允許至少一些蝕刻劑氣體材料被吸附到欲蝕刻的層中。之後,蝕刻劑氣體被惰性氣體(例如氬、氦、氪、氖、氙氣等等的一種或其混合)取代。然後亞穩態粒子從惰性氣體形成以在基材層上進行原子層蝕刻。此循環可以重複一次以上,直到原子層蝕刻完成。
在一或多個實施例中,亞穩態粒子係透過在處理室中的一電漿形成區域中從惰性氣體點燃電漿而形成。然而,高能電漿離子被避免與晶圓表面接觸,以儘量減少無意的蝕刻和/或次表面的損壞和/或下層的修改。在原子層蝕刻時,基板被設置在處理室的晶圓處理區域,且設於卡盤上。在接觸基材層表面時,亞穩態粒子的激化被消除,且在此過程中,它們的電能被放棄以在吸收的基板表面造成表面反應,從而蝕刻基板的表面。由於亞穩態粒子的定向能量(例如,氬氣亞穩態粒子約0.025 eV)比電漿的能量離子的100-1000eV的能量要低得多,當使用惰氣亞穩態粒子與吸附層的組合來蝕刻時,損害係實質上減少。
在一或多個實施例中,上述分離板是板之間彼此電絕緣的多板結構。在本發明的一或多個實施例中,多板組件中的至少一個板被偏置以排斥來自電漿的離子。的多板組件的每個板具有通孔,以允許亞穩態粒子從電漿產生區通過到晶圓處理區。在一實施例中,板的通孔可對齊,或者如果需要的話,可稍微偏移。分離板的通孔的尺寸係實質上防止高能電漿物種通過分離板到達基板表面。
在一或多個實施例中,一準直器板係設置於分離板和基板之間以準直亞穩態粒子,從而僅允許實質上定向的亞穩態粒子到達該基板表面,使蝕刻更各向異性,即,在垂直於基片平面的垂直方向上。準直器板可包括通孔,並可依需要接地或偏置。
為了防止由於分離板和/或準直器板的孔圖案而造成的表面的非均勻蝕刻,分離板和/或準直器板可相對基板移動(或反之亦然)。分離板和/或準直器板和/或晶圓及晶圓卡盤組件的相對橫向運動,有防止分離板或準直器板的通孔圖案只在基板表面的某些區域被印上的效果。
本發明的一或多個實施例包括用於進行此處所描述的原子層蝕刻的方法。本發明的一或多個實施例涵蓋基板處理系統和/或具有此處所描述的硬體的基板處理室,並且還可包括透過以下方式實現的邏輯:專用邏輯電路,可程式化邏輯電路,和/或通過微處理器/微控制器/電腦控制的電腦可讀代碼,該代碼儲存在電腦可讀媒體和/或通過匯流排或數據網路(包括一個區域網路和/或網際網路)發送到執行該代碼的微處理器/微控制器/電腦。
本發明的實施例的特徵和優點,可參考下面的圖式和討論而理解。
本發明的實施例採用一種或多種惰性氣體的亞穩態粒子,以激活已吸附在基板層(例如矽層)與反應物(例如鹵素)的表面反應。雖然此處以矽層作為例子,但應當理解,任何層都可以使用本發明實施例的原子蝕刻技術來蝕刻。在一或多個實施例中,晶圓表面係暴露於蝕刻劑氣體(例如Cl2、HCl、CHF3、CH2F2、CH3F、H2、BCl3、SiCl4、Br2、HBr、NF3、CF4、SF6、O2、SO2、COS等的一種或其混合)。在一或多個實施例中,基板處理室可於很短的時間(約0.05秒至約180秒)充滿一種蝕刻劑氣體,或蝕刻劑氣體的混合,以允許蝕刻劑氣體分子吸附在基板表面上。
在一或多個實施例中,基板處理室可以蝕刻劑氣體或蝕刻劑氣體的混合物填充約0.25秒至約5秒,以允許蝕刻劑氣體分子吸附在基板表面上。
如圖1顯示,依本發明的一實施例,一例示性的基板處理室包括基板104(例如晶圓)以及設於其上的卡盤102。無論是氣體入口106或108a/108b,或兩個進氣口106和108a/108b,都可用於注入氣體到處理室中。在一或多個實施例中,例如氬、氦、氖、氙、氪等惰性氣體的一種或其混合從氣體入口106被注入到電漿產生區110,同時蝕刻劑氣體經由氣體入口108a/108b被引入到基板處理區112,以確保蝕刻劑氣體不進入電漿產生區110。在這種情況下,較佳地,電漿產生區域110中的壓力至少等於或超過基板處理區112中的壓力。電漿產生區110至少以分離板結構130(及選擇性地以一準直器板,這將在本文中稍後討論)從基板處理區112被分離。
下一步,在蝕刻劑氣體於基板表面上有足夠的時間進行吸附之後,蝕刻劑氣體被處理室排氣泵120a/120b抽空。這可以跟將惰性氣體通過氣體入口106引入到到電漿產生區110配合完成。如果蝕刻劑氣體抽空沒有同步的惰性氣體流,下一步可能涉及到將惰性氣體通過氣體入口106流動至電漿產生區110中。
在實質上用惰性氣體從處理室中更換蝕刻劑氣體時,惰性氣體的電漿在電漿室,更具體地在電漿產生區110中產生。在一實施例中,如果至少有80%的蝕刻劑氣體被惰性氣體取代,就可以說蝕刻劑氣體實質上被惰性氣體取代。在一實施例中,如果至少有90%的蝕刻劑氣體被惰性氣體取代,就可以說蝕刻劑氣體實質上被惰性氣體取代。在一實施例中,如果至少有95%的蝕刻劑氣體被惰性氣體取代,就可以說蝕刻劑氣體實質上被惰性氣體取代。在一實施例中,如果至少有99%的蝕刻劑氣體被惰性氣體取代,就可以說蝕刻劑氣體實質上被惰性氣體取代。
電漿中產生的亞穩態粒子物種通過分離板結構130進到基板處理區112。基板處理區112中的壓力可以使用,例如,渦輪分子泵、壓力控制閥、分離板和惰性氣體流的設計來控制。
在一或多個實施例中,理想的是將基板處理區中的壓力保持到小於10毫托,使進入晶圓處理區的亞穩態粒子的絕大部份能夠在沒有於晶圓處理室中因氣態碰撞而驟冷的情況下撞擊基板。在一實施例中,分離板和基板之間的距離可保持在例如約1公分和25公分之間。
用於產生亞穩態粒子的電漿源可以選自各種技術,包括電感耦合電漿(inductively coupled plasma,ICP)、電容耦合電漿、空心陰極放電陣列、微波電漿或電子迴旋共振(electron cyclotron resonance,ECR)電漿,或任何其它合適的電漿源技術。
圖1還顯示了感應耦合電漿源150,其由用於感應耦合射頻能量與電漿產生區110中所產生的電漿的天線表示。在一較佳的實施例中,由於具有在低壓下產生高密度電漿的能力,也可以採用電感耦合電漿(ICP)或電子迴旋共振(ECR)電漿源。在一或多個實施例中,電漿產生區110中的壓力在約0.5毫托與約100毫托之間。
分離板結構130被設計成作為接地的表面,以藉由實質上防止電漿帶電物種到達基板,來限制分離板中的孔所洩漏的電漿量。在一實施例中,如果至少有60%本來可以到達基板表面的電漿帶電物種因分離板結構而被防止到達基板,就可以說分離板結構實質上防止了電漿帶電物種到達基板。在一實施例中,如果至少有80%本來可以到達基板表面的電漿帶電物種因分離板結構而被防止到達基板,就可以說分離板結構實質上防止了電帶電物種漿到達基板。在一實施例中,如果至少有95%本來可以到達基板表面的電漿帶電物種因分離板結構而被防止到達基板,就可以說分離板結構實質上防止了電漿帶電物種到達基板。在一實施例中,如果至少有99%本來可以到達基板表面的電漿帶電物種因分離板結構而被防止到達基板,就可以說分離板結構實質上防止了電漿帶電物種到達基板。
分離板結構130的板可由金屬(例如,鋁或不銹鋼或類似的合適材料)或由塗覆膜的金屬(例如,陽極化鋁,塗覆了Y2O3、YF3、CeO2、Si、TiN的鋁)製成。在一或多個實施例中,分離板的厚度可在1毫米和25毫米之間。分離板中的孔的直徑可以選擇,以盡量減少或實質上防止電漿滲漏通過孔,並在一或多個實施例中,可在20微米和5毫米之間。
在一或多個實施例中,分離板結構202是一個多層結構(如在本發明的一實施例的圖2所示),藉此兩導電板204和206以絕緣層208分離,以使彼此電絕緣。頂板204與電漿產生區域200中的電漿接觸,並電接地。底板206可以用一個小直流電壓偏置以排斥來自電漿的離子。底板206上所施加的偏置電壓,可以大於電漿的電位,以防止大部分的離子到達基板228上方的基板處理區210。在一或多個實施例中,底板206上所施加的電壓可能在約10V到約50V之間。
在一或多個實施例中,一具有例如網格形式的準直器板226可設於分離板結構202和基板228之間,以排斥任何電漿帶電物種通過分離板結構202到達基板228。準直器板226可被偏置到排斥電漿帶電物種,或者,如果需要的話,可以被接地。在另一實施例中,基板228可以被偏置相對於電漿電位帶正電,以防止電漿帶電物種到達基板228。
分離板結構202也準直對著基板228發出的中性束。藉由在基板228上保持低於10毫托的壓力,較佳地為小於1毫乇,在一或多個實施例中,因為中性平均自由路徑在1毫托是約25厘米,從分離板結構202發出的氣體與基板處理區210中的氣體之間的碰撞在基板228上方被最小化。這確保亞穩態粒子到達基板228的表面之前,從分離板結構202運動到基板228的過程中沒有任何顯著碰撞。
本發明的實施例採用一種惰性亞穩態原子流來抵達已吸附和/或飽和反應物種(例如,鹵素)的晶圓表面上。亞穩態原子攜帶了大量的電能到晶圓以引起化學反應。氬穩態原子(氬*,其中“*”表示的亞穩態的形式)攜帶,例如,約11.7 eV的能量。當氬*原子與表面相互作用時,此能量被帶到晶圓表面。
由於氬*原子以接近熱氣體速度(0.025 eV)運動,相較於常用在電漿蝕刻的高能離子(100-1000 eV),亞穩態原子給予小很多的動量與轟擊到表面。在此尊敬地提出,在許多情況下,氬*原子實質上無法在撞擊晶圓時造成表面損傷。
為了實現高度定向的蝕刻,氬和氬*的原子束可使用另一種如圖2所示的多孔板來進一步準直。在本實施例中,具有與分離板204和206大致相同的孔圖案的準直器板226被置於離分離板結構202一小段距離。準直器板226被對齊,使得氬*從電漿產生區的電漿沿著具有到晶圓的視線的分離板的軸線(即,垂直於分離板平面)朝向基板228運動。
更一般地,僅在一個相對於該垂直軸線(與分離板的平面垂直的軸線)成小角度(較佳地小於正負3度,更佳地小於正負0.5度)的圓錐內運動的氬*可以通過準直器板226而不與準直器板226有任何碰撞。這些氬*原子由箭頭230和232所示。所有其他的以相對於垂直軸線較大的角度移動的氬*原子(240和242)遭受與準直器板的碰撞而消除激化,從而在碰撞時轉變成氬基態。此方案允許為垂直方向的氬*流入射到在晶圓表面上(與氬原子一起),創造一個更垂直的,更各向異性的刻蝕。
定向的氬*原子通量照射在前面所提到的給予劑量的或吸附了蝕刻劑的特徵上。氬*原子賦予電能到表面,引起化學反應造成,例如,表面在特徵底部的的蝕刻,但不是在側壁上。氬*引起的蝕刻(或氬*引起的解吸附)在蝕刻劑在表面上耗盡後,該蝕刻反應停止。由於從氬和氬*束撞急到表面的動量傳遞是非常小的,實質上表面不會發生損壞。圖3顯示基於以下事實的垂直蝕刻的態樣:只有垂直定向氬*原子撞擊到特徵304的底部302,而未撞擊到特徵304的側壁306和308。
為了防止由於分離板結構202和/或準直器板226的孔圖案而造成的表面層的非均勻蝕刻,分離板結構202和/或準直器板226可以相對基片228移動(或反之亦然)。分離板結構202和/或準直器板226和/或晶圓及晶圓卡盤組件相對的橫向運動,有防止分離板結構202或準直器板226的通孔圖案只被印在基板表面給定的區域的效果。
一般來說,分離板結構202和/或準直器板226和/或晶圓及晶圓卡盤組件相對的橫向運動,應該要足夠大到能確保在晶圓上的所有相關位置的平均時間的均勻氬*流。在一般情況下,橫向運動幅度可能至少跟分離板中的孔間距一樣大,較佳地至少兩倍於在一或多個實施例中的分隔板的孔間距。
圖4顯示依本發明的一實施例中用於進行原子層蝕刻的步驟。在步驟402中,處理室,更具體的說,處理室在晶圓上方的區域,被充入蝕刻劑源氣體,以促進蝕刻劑氣體分子吸附在基板表面。在步驟404中,該蝕刻劑氣體從處理室被抽空,並用一種惰性氣體(步驟406)代替。然後惰性氣體被激化並點燃,以在電漿產生區中形成電漿,以產生亞穩態粒子(步驟408)。
正如所提到的,惰性氣體的亞穩態粒子係藉由誘導在基板吸附表面的表面反應來蝕刻基材層。分離板結構可以被採用來防止電漿的能量物種與基板表面接觸,從而最小化傷害或不必要的基板蝕刻。如果需要的話,也可能可以採用一個準直器板,以進一步促進蝕刻的方向性,造成一高度的各向異性蝕刻。
為了防止基板表面由於分離板的結構和/或準直器板的孔圖案而造成的表面層的非均勻蝕刻,在步驟410中,分離板和/或準直器板可相對於基底橫向移動(或反之亦然)。該移動可由,例如,一個合適的致動器裝置(其可包括一個馬達和選擇性的相關配備)來進行。
從上述中可以理解,本發明的實施例中採用亞穩態的惰性氣體,以激活已吸附了蝕刻劑分子的基板層的表面反應。透過採用亞穩態粒子的電能來進行基板的吸附表面層上的蝕刻,以及透過防止電漿物種在原子層蝕刻過程中轟擊基板表面,當蝕刻非常精細的層和/或當執行關鍵原子層蝕刻時,本發明的實施例有利地避免了由電漿的高能物種所造成的轟擊損傷。
雖然本發明已經以幾個較佳的實施例來描述,仍有改變、置換和均等物落入本發明範圍內。如果採用本文中的術語“集合”,這樣的術語意欲具有在數學上被理解的的意義,包括零個、一個或一個以上的成員。本發明應被理解為也包括這些變化、置換和均等物。還應當注意的是,有許多替代的方式可實施本發明的方法和設備。雖然本文提供了本發明的各種例子的,這些實施例是說明性的,而不是對於本發明的限制。
102‧‧‧卡盤
104、228‧‧‧基板
106、108a、108b‧‧‧氣體入口
110、200‧‧‧電漿產生區
112、210‧‧‧基板處理區
120a/120b‧‧‧處理室排氣泵
130、202‧‧‧分離板結構
150‧‧‧感應耦合電漿源
204‧‧‧頂板
206‧‧‧底板
208‧‧‧絕緣層
226‧‧‧準直器板
230、232、240、242‧‧‧氬*原子
302‧‧‧底部
304‧‧‧特徵
306、308‧‧‧側壁
402、404、406、408、410‧‧‧步驟
本發明通過例示的方式,而非限制的方式,繪示於隨附的圖中,並且其中相似的元件係以類似的參照符號表示,其中:圖1顯示一個例示性的基板處理室,其適於依本發明的一或多個實施例進行原子層蝕刻。
圖2顯示一個依本發明的一或多個實施例的例示性的分離板結構與選擇性的準直器板。
圖3顯示依本發明的一或多個實施例的亞穩態粒子的定向蝕刻的一個例子。
圖4顯示依本發明的一實施例的進行原子層蝕刻的步驟。
402、404、406、408、410‧‧‧步驟
权利要求:
Claims (21)
[1] 一種在半導體處理室中用於蝕刻基板上的一層的方法,包含:引入第一氣體到該處理室,該氣體是適於蝕刻該層的一蝕刻劑氣體;允許該第一氣體在足以導致至少一些該第一氣體吸附在該層中的一段時間內出現於該處理室;將該處理室中的該第一氣體實質替換為一惰性氣體;從該惰性氣體產生複數亞穩態粒子;及利用該等亞穩態粒子蝕刻該層。
[2] 如申請專利範圍第1項的在半導體處理室中用於蝕刻基板上的一層的方法,其中該等亞穩態粒子係藉由從該惰性氣體形成一電漿而生成。
[3] 如申請專利範圍第2項的在半導體處理室中用於蝕刻基板上的一層的方法,其中當該等亞穩態粒子從該電漿移動到該層時,通過一分離板結構。
[4] 如申請專利範圍第3項的在半導體處理室中用於蝕刻基板上的一層的方法,其中該分離板結構具有複數孔,該等孔配置為實質上防止電漿帶電物種移動到該層。
[5] 如申請專利範圍第3項的在半導體處理室中用於蝕刻基板上的一層的方法,其中該分離板結構包括至少兩個彼此電絕緣的板,該兩個板在該蝕刻時具有不同的電位。
[6] 如申請專利範圍第3項的在半導體處理室中用於蝕刻基板上的一層的方法,其中當該等亞穩態粒子從該電漿移動到該層時,還通過一準直器板,其中該準直器板設置於該基板和該分離板結構之間。
[7] 如申請專利範圍第3項的在半導體處理室中用於蝕刻基板上的一層的方法,進一步包含:在利用該等亞穩態粒子蝕刻時,彼此相對地移動該分隔板和該基板的至少一者。
[8] 如申請專利範圍第1項的在半導體處理室中用於蝕刻基板上的一層的方法,其中該蝕刻劑氣體至少含有鹵素,且該層含有矽。
[9] 如申請專利範圍第1項的在半導體處理室中用於蝕刻基板上的一層的方法,其中該段時間是在約0.05秒至約180秒之間。
[10] 如申請專利範圍第1項的在半導體處理室中用於蝕刻基板上的一層的方法,其中該產生該等亞穩態粒子係於將該處理室中的至少約80%的該第一氣體替換為該惰性氣體後進行。
[11] 一種基板處理系統,具有一基板處理室以蝕刻一基板上的一層,包含:一卡盤,該基板在該蝕刻時係設置於該卡盤上;一分離板結構,將該處理室分為一電漿產生區和一基板處理區;一電漿源,用於在該電漿產生區中產生一電漿;及邏輯單元,其用於:引入一第一氣體到該處理室中,該氣體係適於蝕刻該層的一蝕刻劑氣體,允許該第一氣體在足以導致至少一些該第一氣體吸附至該層中的一段時間內出現於該處理室,將該處理室中的該第一氣體實質替換為一惰性氣體,從該惰性氣體產生複數亞穩態粒子,及利用該等亞穩態粒子蝕刻該層。
[12] 如申請專利範圍第11項的基板處理系統,其中該等亞穩態粒子係藉由於該基板處理區中從該惰性氣體使用該電漿源形成一電漿而生成。
[13] 如申請專利範圍第12項的基板處理系統,其中當該等亞穩態粒子從該電漿移動到該層時,通過該分離板結構。
[14] 如申請專利範圍第13項的基板處理系統,其中該分離板結構具有複數孔,該等孔配置為實質上防止電漿帶電物種移動到該層。
[15] 如申請專利範圍第13項的基板處理系統,其中該分離板結構包括至少兩個彼此電絕緣的板,該兩個板具有不同的電位。
[16] 如申請專利範圍第13項的基板處理系統,更包含一準直器板,其中當該等亞穩態粒子從該電漿移動到該層時,還通過該準直器板,其中該準直器板設置於該基板和該分離板結構之間。
[17] 如申請專利範圍第13項的基板處理系統,更包含一致動裝置,其在利用該等亞穩態粒子蝕刻時,相對於彼此移動該分離板結構和該準直器板和該基板之至少一者。
[18] 一種基板處理系統,具有一基板處理室以蝕刻一基板上的一層,該基板在該蝕刻時係設置於該處理室中的一卡盤上,包含:一分離板結構,將該處理室分為一電漿產生區和一基板處理區;一電漿源,用於在該電漿產生區產生一電漿;及邏輯單元,其用於:引入一第一氣體到該處理室中,該氣體係適於蝕刻該層的一蝕刻劑氣體,允許該第一氣體在足以導致至少一些該第一氣體吸附至該層中的一段時間內出現於該處理室,將該電漿處理區中的該第一氣體實質替換為一惰性氣體,在該電漿產生區中從該惰性氣體產生一電漿,及利用來自該電漿的複數亞穩態粒子蝕刻該層,其中該蝕刻進行時,實質上避免電漿帶電物種從該電漿移動到該層。
[19] 如申請專利範圍第18項的基板處理系統,其中該分離板結構具有複數孔,該等孔配置為實質上防止電漿帶電物種移動到該層。
[20] 如申請專利範圍第18項的基板處理系統,其中該分離板結構包括至少兩個彼此電絕緣的板,該兩個板具有不同的電位。
[21] 如申請專利範圍第18項的基板處理系統,更包含一準直器板,其中當該等亞穩態粒子從該電漿移動到該層時,還通過該準直器板,其中該準直器板設置於該基板和該分離板結構之間。
类似技术:
公开号 | 公开日 | 专利标题
TWI591716B|2017-07-11|原子層蝕刻用之方法與設備
TWI647731B|2019-01-11|蝕刻基板的設備及方法
TWI578408B|2017-04-11|在增大的電漿處理系統中之電漿加強蝕刻
US8475673B2|2013-07-02|Method and apparatus for high aspect ratio dielectric etch
US6926799B2|2005-08-09|Etching apparatus using neutral beam
JP4073204B2|2008-04-09|エッチング方法
TW200425332A|2004-11-16|Methods of reducing photoresist distortion while etching in a plasma processing system
KR970005035B1|1997-04-11|플라즈마발생방법 및 그 장치
US9735027B2|2017-08-15|Method for etching organic film
JP2006253190A|2006-09-21|中性粒子ビーム処理装置および帯電電荷の中和方法
JP2009188344A|2009-08-20|ミリング装置及びミリング方法
Darnon2017|Plasma etching in microelectronics
US11043387B2|2021-06-22|Methods and apparatus for processing a substrate
KR102358732B1|2022-02-04|플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP2006286715A|2006-10-19|表面処理装置
Zhao et al.0|Fragmentation and electronegativity of C4F8 plasmas in both inductive and ca-pacitive discharges
Anderson1995|Plasma and Discharge Physics for Materials Processing
JPH10317173A|1998-12-02|反応性イオンエッチング装置
ANDERSON2012|Department of Chemical & Nuclear Engineering The University of New Mexico Albuquerque, NM 87131 USA
Wang2011|Modeling of complex surface interactions in low and high pressure plasmas
同族专利:
公开号 | 公开日
CN103748658B|2016-06-08|
JP2017228791A|2017-12-28|
CN105679632B|2018-06-01|
TW201724261A|2017-07-01|
TWI591716B|2017-07-11|
US20130023125A1|2013-01-24|
KR101920527B1|2018-11-20|
CN105679632A|2016-06-15|
TWI630656B|2018-07-21|
US8617411B2|2013-12-31|
JP6338754B2|2018-06-06|
WO2013012620A1|2013-01-24|
JP2014522104A|2014-08-28|
CN103748658A|2014-04-23|
US20150206774A1|2015-07-23|
SG10201605726PA|2016-09-29|
US10014192B2|2018-07-03|
JP6203716B2|2017-09-27|
KR20140051962A|2014-05-02|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
JPS61171133A|1985-01-25|1986-08-01|Hitachi Ltd|Dry etching method|
US4756794A|1987-08-31|1988-07-12|The United States Of America As Represented By The Secretary Of The Navy|Atomic layer etching|
JPH02249231A|1989-03-23|1990-10-05|Matsushita Electric Ind Co Ltd|Dry etching method|
DE4018954C2|1989-06-15|1993-07-22|Mitsubishi Denki K.K., Tokio/Tokyo, Jp||
JPH03133128A|1989-10-19|1991-06-06|Res Dev Corp Of Japan|Digital etching|
JP2924013B2|1989-11-13|1999-07-26|日本電気株式会社|ドライエッチング方法|
US5002632A|1989-11-22|1991-03-26|Texas Instruments Incorporated|Method and apparatus for etching semiconductor materials|
KR910016054A|1990-02-23|1991-09-30|미다 가쓰시게|마이크로 전자 장치용 표면 처리 장치 및 그 방법|
JP3078821B2|1990-05-30|2000-08-21|豊田合成株式会社|半導体のドライエッチング方法|
JPH04280428A|1991-03-08|1992-10-06|Hitachi Ltd|Method and device for dry etching|
JPH05326452A|1991-06-10|1993-12-10|Kawasaki Steel Corp|プラズマ処理装置及び方法|
JP3084497B2|1992-03-25|2000-09-04|東京エレクトロン株式会社|SiO2膜のエッチング方法|
JP3217844B2|1992-03-27|2001-10-15|理化学研究所|エッチングダメージ防止方法|
GB2269785A|1992-08-14|1994-02-23|Sharp Kk|Etching a surface of a semiconductor|
JPH06252108A|1993-02-25|1994-09-09|Hitachi Ltd|表面処理方法および装置|
US5976992A|1993-09-27|1999-11-02|Kabushiki Kaisha Toshiba|Method of supplying excited oxygen|
JP3408311B2|1994-03-25|2003-05-19|靖浩 堀池|デジタルエッチング方法及び装置|
US5900103A|1994-04-20|1999-05-04|Tokyo Electron Limited|Plasma treatment method and apparatus|
JPH07335612A|1994-06-13|1995-12-22|Hitachi Ltd|半導体集積回路装置の製造方法|
JPH0831804A|1994-07-19|1996-02-02|Hitachi Ltd|ケミカルコリメータ|
US5811022A|1994-11-15|1998-09-22|Mattson Technology, Inc.|Inductive plasma reactor|
JP3237743B2|1996-02-15|2001-12-10|東京エレクトロン株式会社|プラズマ処理装置及びプラズマ処理方法|
JP3319285B2|1996-06-05|2002-08-26|株式会社日立製作所|プラズマ処理装置及びプラズマ処理方法|
US6500314B1|1996-07-03|2002-12-31|Tegal Corporation|Plasma etch reactor and method|
JP3317209B2|1997-08-12|2002-08-26|東京エレクトロンエイ・ティー株式会社|プラズマ処理装置及びプラズマ処理方法|
US6464891B1|1999-03-17|2002-10-15|Veeco Instruments, Inc.|Method for repetitive ion beam processing with a carbon containing ion beam|
JP4057198B2|1999-08-13|2008-03-05|東京エレクトロン株式会社|処理装置及び処理方法|
KR100338768B1|1999-10-25|2002-05-30|윤종용|산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치|
KR100367662B1|2000-05-02|2003-01-10|주식회사 셈테크놀러지|하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치|
KR100382720B1|2000-08-30|2003-05-09|삼성전자주식회사|반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법|
JP2002289585A|2001-03-26|2002-10-04|Ebara Corp|中性粒子ビーム処理装置|
JP2002289584A|2001-03-26|2002-10-04|Ebara Corp|表面処理方法|
US6448192B1|2001-04-16|2002-09-10|Motorola, Inc.|Method for forming a high dielectric constant material|
US6820570B2|2001-08-15|2004-11-23|Nobel Biocare Services Ag|Atomic layer deposition reactor|
US7013834B2|2002-04-19|2006-03-21|Nordson Corporation|Plasma treatment system|
US20040173316A1|2003-03-07|2004-09-09|Carr Jeffrey W.|Apparatus and method using a microwave source for reactive atom plasma processing|
KR100555849B1|2003-11-27|2006-03-03|주식회사 셈테크놀러지|중성입자빔 처리장치|
US7244474B2|2004-03-26|2007-07-17|Applied Materials, Inc.|Chemical vapor deposition plasma process using an ion shower grid|
US7695590B2|2004-03-26|2010-04-13|Applied Materials, Inc.|Chemical vapor deposition plasma reactor having plural ion shower grids|
US20060000802A1|2004-06-30|2006-01-05|Ajay Kumar|Method and apparatus for photomask plasma etching|
JP4633425B2|2004-09-17|2011-02-23|東京エレクトロン株式会社|プラズマ処理装置およびプラズマ処理方法|
US8173036B2|2005-03-02|2012-05-08|Tokyo Electron Limited|Plasma processing method and apparatus|
JP4852261B2|2005-05-17|2012-01-11|キヤノンアネルバ株式会社|シリコン化合物の形成方法|
TW200709296A|2005-05-31|2007-03-01|Tokyo Electron Ltd|Plasma treatment apparatus and plasma treatment method|
KR100653073B1|2005-09-28|2006-12-01|삼성전자주식회사|기판처리장치와 기판처리방법|
US7335602B2|2006-01-18|2008-02-26|Freescale Semiconductor, Inc.|Charge-free layer by layer etching of dielectrics|
US7416989B1|2006-06-30|2008-08-26|Novellus Systems, Inc.|Adsorption based material removal process|
WO2008020267A2|2006-08-16|2008-02-21|Freescale Semiconductor, Inc.|Etch method in the manufacture of an integrated circuit|
US7871678B1|2006-09-12|2011-01-18|Novellus Systems, Inc.|Method of increasing the reactivity of a precursor in a cyclic deposition process|
US8053372B1|2006-09-12|2011-11-08|Novellus Systems, Inc.|Method of reducing plasma stabilization time in a cyclic deposition process|
US9157152B2|2007-03-29|2015-10-13|Tokyo Electron Limited|Vapor deposition system|
JP4971930B2|2007-09-28|2012-07-11|東京エレクトロン株式会社|プラズマ処理装置|
JP5380464B2|2009-02-06|2014-01-08|キヤノンアネルバ株式会社|プラズマ処理装置、プラズマ処理方法、および被処理基板を備える素子の製造方法|
US8017198B2|2009-04-24|2011-09-13|Ovshinsky Innovation Llc|Thin film deposition via charged particle-depleted plasma achieved by magnetic confinement|
US8980382B2|2009-12-02|2015-03-17|Applied Materials, Inc.|Oxygen-doping for non-carbon radical-component CVD films|
US20110139748A1|2009-12-15|2011-06-16|University Of Houston|Atomic layer etching with pulsed plasmas|
JP5006415B2|2010-01-12|2012-08-22|キヤノンアネルバ株式会社|酸化膜除去のための基板洗浄処理方法|
KR101080604B1|2010-02-09|2011-11-04|성균관대학교산학협력단|원자층 식각 장치 및 이를 이용한 식각 방법|
JP4982582B2|2010-03-31|2012-07-25|株式会社東芝|マスクの製造方法|
US8828883B2|2010-08-24|2014-09-09|Micron Technology, Inc.|Methods and apparatuses for energetic neutral flux generation for processing a substrate|
US20120258607A1|2011-04-11|2012-10-11|Lam Research Corporation|E-Beam Enhanced Decoupled Source for Semiconductor Processing|
US9111728B2|2011-04-11|2015-08-18|Lam Research Corporation|E-beam enhanced decoupled source for semiconductor processing|
US9177756B2|2011-04-11|2015-11-03|Lam Research Corporation|E-beam enhanced decoupled source for semiconductor processing|
US8617411B2|2011-07-20|2013-12-31|Lam Research Corporation|Methods and apparatus for atomic layer etching|
US9034773B2|2012-07-02|2015-05-19|Novellus Systems, Inc.|Removal of native oxide with high selectivity|
US8728951B2|2012-07-31|2014-05-20|Varian Semiconductor Equipment Associates, Inc.|Method and system for ion-assisted processing|
US9373517B2|2012-08-02|2016-06-21|Applied Materials, Inc.|Semiconductor processing with DC assisted RF power for improved control|
US20140271097A1|2013-03-15|2014-09-18|Applied Materials, Inc.|Processing systems and methods for halide scavenging|
US20150200042A1|2014-01-10|2015-07-16|Applied Materials, Inc.|Recessing ultra-low k dielectric using remote plasma source|
JP6159757B2|2014-07-10|2017-07-05|東京エレクトロン株式会社|基板の高精度エッチングのプラズマ処理方法|
US9609730B2|2014-11-12|2017-03-28|Lam Research Corporation|Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas|
US9543158B2|2014-12-04|2017-01-10|Lam Research Corporation|Technique to deposit sidewall passivation for high aspect ratio cylinder etch|
US9576811B2|2015-01-12|2017-02-21|Lam Research Corporation|Integrating atomic scale processes: ALD and ALE |US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD|
US9394608B2|2009-04-06|2016-07-19|Asm America, Inc.|Semiconductor processing reactor and components thereof|
US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species|
US9475709B2|2010-08-25|2016-10-25|Lockheed Martin Corporation|Perforated graphene deionization or desalination|
US9312155B2|2011-06-06|2016-04-12|Asm Japan K.K.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules|
US9793148B2|2011-06-22|2017-10-17|Asm Japan K.K.|Method for positioning wafers in multiple wafer transport|
US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers|
US10854498B2|2011-07-15|2020-12-01|Asm Ip Holding B.V.|Wafer-supporting device and method for producing same|
US8617411B2|2011-07-20|2013-12-31|Lam Research Corporation|Methods and apparatus for atomic layer etching|
US9017481B1|2011-10-28|2015-04-28|Asm America, Inc.|Process feed management for semiconductor substrate processing|
US8946830B2|2012-04-04|2015-02-03|Asm Ip Holdings B.V.|Metal oxide protective layer for a semiconductor device|
JP2013235912A|2012-05-08|2013-11-21|Tokyo Electron Ltd|被処理基体をエッチングする方法、及びプラズマエッチング装置|
US10653824B2|2012-05-25|2020-05-19|Lockheed Martin Corporation|Two-dimensional materials and uses thereof|
US9558931B2|2012-07-27|2017-01-31|Asm Ip Holding B.V.|System and method for gas-phase sulfur passivation of a semiconductor surface|
US9659799B2|2012-08-28|2017-05-23|Asm Ip Holding B.V.|Systems and methods for dynamic semiconductor process scheduling|
US9021985B2|2012-09-12|2015-05-05|Asm Ip Holdings B.V.|Process gas management for an inductively-coupled plasma deposition reactor|
US9048190B2|2012-10-09|2015-06-02|Applied Materials, Inc.|Methods and apparatus for processing substrates using an ion shield|
US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead|
US9640416B2|2012-12-26|2017-05-02|Asm Ip Holding B.V.|Single-and dual-chamber module-attachable wafer-handling chamber|
US10256079B2|2013-02-08|2019-04-09|Applied Materials, Inc.|Semiconductor processing systems having multiple plasma configurations|
US9589770B2|2013-03-08|2017-03-07|Asm Ip Holding B.V.|Method and systems for in-situ formation of intermediate reactive species|
US9484191B2|2013-03-08|2016-11-01|Asm Ip Holding B.V.|Pulsed remote plasma method and system|
WO2014164621A1|2013-03-12|2014-10-09|Lockheed Martin Corporation|Method for forming filter with uniform aperture size|
US9505192B2|2013-03-13|2016-11-29|Lockheed Martin Corporation|Nanoporous membranes and methods for making the same|
US9572918B2|2013-06-21|2017-02-21|Lockheed Martin Corporation|Graphene-based filter for isolating a substance from blood|
US8993054B2|2013-07-12|2015-03-31|Asm Ip Holding B.V.|Method and system to reduce outgassing in a reaction chamber|
US9018111B2|2013-07-22|2015-04-28|Asm Ip Holding B.V.|Semiconductor reaction chamber with plasma capabilities|
US9793115B2|2013-08-14|2017-10-17|Asm Ip Holding B.V.|Structures and devices including germanium-tin films and methods of forming same|
US9240412B2|2013-09-27|2016-01-19|Asm Ip Holding B.V.|Semiconductor structure and device and methods of forming same using selective epitaxial process|
US9556516B2|2013-10-09|2017-01-31|ASM IP Holding B.V|Method for forming Ti-containing film by PEALD using TDMAT or TDEAT|
US9605343B2|2013-11-13|2017-03-28|Asm Ip Holding B.V.|Method for forming conformal carbon films, structures conformal carbon film, and system of forming same|
US10179947B2|2013-11-26|2019-01-15|Asm Ip Holding B.V.|Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition|
AU2015210875A1|2014-01-31|2016-09-15|Lockheed Martin Corporation|Processes for forming composite structures with a two-dimensional material using a porous, non-sacrificial supporting layer|
US9744617B2|2014-01-31|2017-08-29|Lockheed Martin Corporation|Methods for perforating multi-layer graphene through ion bombardment|
SG11201606289RA|2014-01-31|2016-08-30|Lockheed Corp|Perforating two-dimensional materials using broad ion field|
US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same|
US9834809B2|2014-02-28|2017-12-05|Lockheed Martin Corporation|Syringe for obtaining nano-sized materials for selective assays and related methods of use|
US9610546B2|2014-03-12|2017-04-04|Lockheed Martin Corporation|Separation membranes formed from perforated graphene and methods for use thereof|
AU2015229331A1|2014-03-12|2016-10-27|Lockheed Martin Corporation|Separation membranes formed from perforated graphene|
US10167557B2|2014-03-18|2019-01-01|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same|
US9447498B2|2014-03-18|2016-09-20|Asm Ip Holding B.V.|Method for performing uniform processing in gas system-sharing multiple reaction chambers|
US11015245B2|2014-03-19|2021-05-25|Asm Ip Holding B.V.|Gas-phase reactor and system having exhaust plenum and components thereof|
US9773683B2|2014-06-09|2017-09-26|American Air Liquide, Inc.|Atomic layer or cyclic plasma etching chemistries and processes|
US10858737B2|2014-07-28|2020-12-08|Asm Ip Holding B.V.|Showerhead assembly and components thereof|
US9543180B2|2014-08-01|2017-01-10|Asm Ip Holding B.V.|Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum|
US9837254B2|2014-08-12|2017-12-05|Lam Research Corporation|Differentially pumped reactive gas injector|
US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds|
CN105448635B|2014-08-28|2018-01-09|北京北方华创微电子装备有限公司|原子层刻蚀装置及采用其的原子层刻蚀方法|
US9406535B2|2014-08-29|2016-08-02|Lam Research Corporation|Ion injector and lens system for ion beam milling|
US10825652B2|2014-08-29|2020-11-03|Lam Research Corporation|Ion beam etch without need for wafer tilt or rotation|
EP3188823A4|2014-09-02|2018-04-25|Lockheed Martin Corporation|Hemodialysis and hemofiltration membranes based upon a two-dimensional membrane material and methods employing same|
US9657845B2|2014-10-07|2017-05-23|Asm Ip Holding B.V.|Variable conductance gas distribution apparatus and method|
US10941490B2|2014-10-07|2021-03-09|Asm Ip Holding B.V.|Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same|
US9355922B2|2014-10-14|2016-05-31|Applied Materials, Inc.|Systems and methods for internal surface conditioning in plasma processing equipment|
US9966240B2|2014-10-14|2018-05-08|Applied Materials, Inc.|Systems and methods for internal surface conditioning assessment in plasma processing equipment|
US9536748B2|2014-10-21|2017-01-03|Lam Research Corporation|Use of ion beam etching to generate gate-all-around structure|
CN105586566B|2014-11-03|2018-05-25|北京北方华创微电子装备有限公司|一种反应腔室及半导体加工设备|
US9609730B2|2014-11-12|2017-03-28|Lam Research Corporation|Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas|
KR102300403B1|2014-11-19|2021-09-09|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법|
US20160148821A1|2014-11-26|2016-05-26|Applied Materials, Inc.|Methods and systems to enhance process uniformity|
US10573496B2|2014-12-09|2020-02-25|Applied Materials, Inc.|Direct outlet toroidal plasma source|
US9396961B2|2014-12-22|2016-07-19|Lam Research Corporation|Integrated etch/clean for dielectric etch applications|
KR102263121B1|2014-12-22|2021-06-09|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 및 그 제조 방법|
US9396956B1|2015-01-16|2016-07-19|Asm Ip Holding B.V.|Method of plasma-enhanced atomic layer etching|
WO2016123090A1|2015-01-26|2016-08-04|Tokyo Electron Limited|Method and system for high precision etching of substrates|
JP6426489B2|2015-02-03|2018-11-21|東京エレクトロン株式会社|エッチング方法|
US9478415B2|2015-02-13|2016-10-25|Asm Ip Holding B.V.|Method for forming film having low resistance and shallow junction depth|
US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method|
US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same|
US9870899B2|2015-04-24|2018-01-16|Lam Research Corporation|Cobalt etch back|
US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same|
US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal|
US9899291B2|2015-07-13|2018-02-20|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film|
US10043661B2|2015-07-13|2018-08-07|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film|
US10083836B2|2015-07-24|2018-09-25|Asm Ip Holding B.V.|Formation of boron-doped titanium metal films with high work function|
US10128082B2|2015-07-24|2018-11-13|Varian Semiconductor Equipment Associates, Inc.|Apparatus and techniques to treat substrates using directional plasma and point of use chemistry|
US10087525B2|2015-08-04|2018-10-02|Asm Ip Holding B.V.|Variable gap hard stop design|
JP2018528144A|2015-08-05|2018-09-27|ロッキード・マーチン・コーポレーション|グラフェン系材料の穿孔可能なシート|
US9691645B2|2015-08-06|2017-06-27|Applied Materials, Inc.|Bolted wafer chuck thermal management systems and methods for wafer processing systems|
US9741593B2|2015-08-06|2017-08-22|Applied Materials, Inc.|Thermal management systems and methods for wafer processing systems|
US10696554B2|2015-08-06|2020-06-30|Lockheed Martin Corporation|Nanoparticle modification and perforation of graphene|
US9706634B2|2015-08-07|2017-07-11|Varian Semiconductor Equipment Associates, Inc|Apparatus and techniques to treat substrates using directional plasma and reactive gas|
US9972504B2|2015-08-07|2018-05-15|Lam Research Corporation|Atomic layer etching of tungsten for enhanced tungsten deposition fill|
US9349605B1|2015-08-07|2016-05-24|Applied Materials, Inc.|Oxide etch selectivity systems and methods|
US9647114B2|2015-08-14|2017-05-09|Asm Ip Holding B.V.|Methods of forming highly p-type doped germanium tin films and structures and devices including the films|
US9711345B2|2015-08-25|2017-07-18|Asm Ip Holding B.V.|Method for forming aluminum nitride-based film by PEALD|
GB201515622D0|2015-09-03|2015-10-21|Oxford Instr Nanotechnology Tools Ltd|Cyclical plasma etching|
US9960072B2|2015-09-29|2018-05-01|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings|
US9909214B2|2015-10-15|2018-03-06|Asm Ip Holding B.V.|Method for depositing dielectric film in trenches by PEALD|
US10211308B2|2015-10-21|2019-02-19|Asm Ip Holding B.V.|NbMC layers|
US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber|
US9455138B1|2015-11-10|2016-09-27|Asm Ip Holding B.V.|Method for forming dielectric film in trenches by PEALD using H-containing gas|
US9691618B2|2015-11-13|2017-06-27|Samsung Electronics Co., Ltd.|Methods of fabricating semiconductor devices including performing an atomic layer etching process|
US9905420B2|2015-12-01|2018-02-27|Asm Ip Holding B.V.|Methods of forming silicon germanium tin films and structures and devices including the films|
US9607837B1|2015-12-21|2017-03-28|Asm Ip Holding B.V.|Method for forming silicon oxide cap layer for solid state diffusion process|
US9627221B1|2015-12-28|2017-04-18|Asm Ip Holding B.V.|Continuous process incorporating atomic layer etching|
US9735024B2|2015-12-28|2017-08-15|Asm Ip Holding B.V.|Method of atomic layer etching using functional group-containing fluorocarbon|
US11139308B2|2015-12-29|2021-10-05|Asm Ip Holding B.V.|Atomic layer deposition of III-V compounds to form V-NAND devices|
US9991128B2|2016-02-05|2018-06-05|Lam Research Corporation|Atomic layer etching in continuous plasma|
KR20180104765A|2016-02-12|2018-09-21|도쿄엘렉트론가부시키가이샤|일괄 처리 시스템에서의 다중막 퇴적 및 에칭을 위한 방법 및 장치|
US9754779B1|2016-02-19|2017-09-05|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches|
US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches|
US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning|
US20170243755A1|2016-02-23|2017-08-24|Tokyo Electron Limited|Method and system for atomic layer etching|
US9779955B2|2016-02-25|2017-10-03|Lam Research Corporation|Ion beam etching utilizing cryogenic wafer temperatures|
US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system|
KR20180123668A|2016-03-17|2018-11-19|니폰 제온 가부시키가이샤|플라즈마 에칭 방법|
US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes|
US9892913B2|2016-03-24|2018-02-13|Asm Ip Holding B.V.|Radial and thickness control via biased multi-port injection settings|
CA3020686A1|2016-04-14|2017-10-19|Lockheed Martin Corporation|Method for treating graphene sheets for large-scale transfer using free-float method|
US10376845B2|2016-04-14|2019-08-13|Lockheed Martin Corporation|Membranes with tunable selectivity|
KR20190018410A|2016-04-14|2019-02-22|록히드 마틴 코포레이션|흐름 통로들을 갖는 2차원 막 구조들|
WO2017180133A1|2016-04-14|2017-10-19|Lockheed Martin Corporation|Methods for in situ monitoring and control of defect formation or healing|
CA3020880A1|2016-04-14|2017-10-19|Lockheed Martin Corporation|Selective interfacial mitigation of graphene defects|
US10980919B2|2016-04-14|2021-04-20|Lockheed Martin Corporation|Methods for in vivo and in vitro use of graphene and other two-dimensional materials|
US10190213B2|2016-04-21|2019-01-29|Asm Ip Holding B.V.|Deposition of metal borides|
US10087522B2|2016-04-21|2018-10-02|Asm Ip Holding B.V.|Deposition of metal borides|
US10865475B2|2016-04-21|2020-12-15|Asm Ip Holding B.V.|Deposition of metal borides and silicides|
US10177002B2|2016-04-29|2019-01-08|Applied Materials, Inc.|Methods for chemical etching of silicon|
US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film|
US10032628B2|2016-05-02|2018-07-24|Asm Ip Holding B.V.|Source/drain performance through conformal solid state doping|
US11154903B2|2016-05-13|2021-10-26|Jiangsu Favored Nanotechnology Co., Ltd.|Apparatus and method for surface coating by means of grid control and plasma-initiated gas-phase polymerization|
KR20170129475A|2016-05-17|2017-11-27|에이에스엠 아이피 홀딩 비.브이.|금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법|
US10504754B2|2016-05-19|2019-12-10|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection|
US10522371B2|2016-05-19|2019-12-31|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection|
US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering|
US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition|
US9859151B1|2016-07-08|2018-01-02|Asm Ip Holding B.V.|Selective film deposition method to form air gaps|
US9793135B1|2016-07-14|2017-10-17|ASM IP Holding B.V|Method of cyclic dry etching using etchant film|
US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten|
KR102354490B1|2016-07-27|2022-01-21|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법|
US9812320B1|2016-07-28|2017-11-07|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
KR20180013034A|2016-07-28|2018-02-07|에이에스엠 아이피 홀딩 비.브이.|기판 가공 장치 및 그 동작 방법|
US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US9887082B1|2016-07-28|2018-02-06|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10177025B2|2016-07-28|2019-01-08|Asm Ip Holding B.V.|Method and apparatus for filling a gap|
US10090316B2|2016-09-01|2018-10-02|Asm Ip Holding B.V.|3D stacked multilayer semiconductor memory using doped select transistor channel|
US10629473B2|2016-09-09|2020-04-21|Applied Materials, Inc.|Footing removal for nitride spacer|
US10141161B2|2016-09-12|2018-11-27|Varian Semiconductor Equipment Associates, Inc.|Angle control for radicals and reactive neutral ion beams|
US9934942B1|2016-10-04|2018-04-03|Applied Materials, Inc.|Chamber with flow-through source|
US10546729B2|2016-10-04|2020-01-28|Applied Materials, Inc.|Dual-channel showerhead with improved profile|
US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems|
US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers|
US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures|
US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures|
US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap|
US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method|
US10163696B2|2016-11-11|2018-12-25|Applied Materials, Inc.|Selective cobalt removal for bottom up gapfill|
US10026621B2|2016-11-14|2018-07-17|Applied Materials, Inc.|SiN spacer profile patterning|
KR20180054366A|2016-11-15|2018-05-24|에이에스엠 아이피 홀딩 비.브이.|기체 공급 유닛 및 이를 포함하는 기판 처리 장치|
US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride|
US10580661B2|2016-12-14|2020-03-03|Mattson Technology, Inc.|Atomic layer etch process using plasma in conjunction with a rapid thermal activation process|
KR20180068582A|2016-12-14|2018-06-22|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
US9916980B1|2016-12-15|2018-03-13|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
KR20180070971A|2016-12-19|2018-06-27|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
US10566212B2|2016-12-19|2020-02-18|Lam Research Corporation|Designer atomic layer etching|
US20180174870A1|2016-12-20|2018-06-21|Lam Research Corporation|Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead|
US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
US10867788B2|2016-12-28|2020-12-15|Asm Ip Holding B.V.|Method of forming a structure on a substrate|
US10431429B2|2017-02-03|2019-10-01|Applied Materials, Inc.|Systems and methods for radial and azimuthal control of plasma uniformity|
US10319739B2|2017-02-08|2019-06-11|Applied Materials, Inc.|Accommodating imperfectly aligned memory holes|
US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD|
US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures|
US10943834B2|2017-03-13|2021-03-09|Applied Materials, Inc.|Replacement contact process|
JP6820775B2|2017-03-17|2021-01-27|株式会社日立ハイテク|エッチング方法及びプラズマ処理装置|
DE102017106018A1|2017-03-21|2018-09-27|Osram Oled Gmbh|Verfahren zum herstellen eines optoelektronischen bauelements und ein optoelektronisches bauelement|
US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures|
US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern|
US10103040B1|2017-03-31|2018-10-16|Asm Ip Holding B.V.|Apparatus and method for manufacturing a semiconductor device|
USD830981S1|2017-04-07|2018-10-16|Asm Ip Holding B.V.|Susceptor for semiconductor substrate processing apparatus|
US10832909B2|2017-04-24|2020-11-10|Lam Research Corporation|Atomic layer etch, reactive precursors and energetic sources for patterning applications|
KR20180119477A|2017-04-25|2018-11-02|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법|
US10892156B2|2017-05-08|2021-01-12|Asm Ip Holding B.V.|Methods for forming a silicon nitride film on a substrate and related semiconductor device structures|
US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures|
US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures|
US10796912B2|2017-05-16|2020-10-06|Lam Research Corporation|Eliminating yield impact of stochastics in lithography|
US9991129B1|2017-05-23|2018-06-05|Applied Materials, Inc.|Selective etching of amorphous silicon over epitaxial silicon|
US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma|
US10886123B2|2017-06-02|2021-01-05|Asm Ip Holding B.V.|Methods for forming low temperature semiconductor layers and related semiconductor device structures|
US10920320B2|2017-06-16|2021-02-16|Applied Materials, Inc.|Plasma health determination in semiconductor substrate processing reactors|
US10541246B2|2017-06-26|2020-01-21|Applied Materials, Inc.|3D flash memory cells which discourage cross-cell electrical tunneling|
JP6929148B2|2017-06-30|2021-09-01|東京エレクトロン株式会社|エッチング方法およびエッチング装置|
US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures|
US10727080B2|2017-07-07|2020-07-28|Applied Materials, Inc.|Tantalum-containing material removal|
US10541184B2|2017-07-11|2020-01-21|Applied Materials, Inc.|Optical emission spectroscopic techniques for monitoring etching|
KR20190009245A|2017-07-18|2019-01-28|에이에스엠 아이피 홀딩 비.브이.|반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물|
US11018002B2|2017-07-19|2021-05-25|Asm Ip Holding B.V.|Method for selectively depositing a Group IV semiconductor and related semiconductor device structures|
US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures|
US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace|
US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias|
US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same|
US10043674B1|2017-08-04|2018-08-07|Applied Materials, Inc.|Germanium etching systems and methods|
US10297458B2|2017-08-07|2019-05-21|Applied Materials, Inc.|Process window widening using coated parts in plasma etch processes|
US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield|
US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same|
US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly|
US11139191B2|2017-08-09|2021-10-05|Asm Ip Holding B.V.|Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith|
US10236177B1|2017-08-22|2019-03-19|ASM IP Holding B.V..|Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures|
USD900036S1|2017-08-24|2020-10-27|Asm Ip Holding B.V.|Heater electrical connector and adapter|
KR20190023920A|2017-08-30|2019-03-08|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
US11056344B2|2017-08-30|2021-07-06|Asm Ip Holding B.V.|Layer forming method|
US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal|
KR20190033455A|2017-09-21|2019-03-29|에이에스엠 아이피 홀딩 비.브이.|침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치|
US10844484B2|2017-09-22|2020-11-24|Asm Ip Holding B.V.|Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods|
US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber|
US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate|
US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition|
US10923344B2|2017-10-30|2021-02-16|Asm Ip Holding B.V.|Methods for forming a semiconductor structure and related semiconductor structures|
US10847374B2|2017-10-31|2020-11-24|Lam Research Corporation|Method for etching features in a stack|
KR102016927B1|2017-11-01|2019-10-21|한국기초과학지원연구원|원자층 연마 방법 및 이를 위한 연마 장치|
KR20190056158A|2017-11-16|2019-05-24|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 방법 및 그에 의해 제조된 장치|
US10910262B2|2017-11-16|2021-02-02|Asm Ip Holding B.V.|Method of selectively depositing a capping layer structure on a semiconductor device structure|
US11022879B2|2017-11-24|2021-06-01|Asm Ip Holding B.V.|Method of forming an enhanced unexposed photoresist layer|
CN111316417A|2017-11-27|2020-06-19|阿斯莫Ip控股公司|与批式炉偕同使用的用于储存晶圆匣的储存装置|
US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning|
US10903054B2|2017-12-19|2021-01-26|Applied Materials, Inc.|Multi-zone gas distribution systems and methods|
US10854426B2|2018-01-08|2020-12-01|Applied Materials, Inc.|Metal recess for semiconductor structures|
US10872771B2|2018-01-16|2020-12-22|Asm Ip Holding B. V.|Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures|
USD903477S1|2018-01-24|2020-12-01|Asm Ip Holdings B.V.|Metal clamp|
US11018047B2|2018-01-25|2021-05-25|Asm Ip Holding B.V.|Hybrid lift pin|
USD880437S1|2018-02-01|2020-04-07|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus|
US10535516B2|2018-02-01|2020-01-14|Asm Ip Holdings B.V.|Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures|
US11081345B2|2018-02-06|2021-08-03|Asm Ip Holding B.V.|Method of post-deposition treatment for silicon oxide film|
US10896820B2|2018-02-14|2021-01-19|Asm Ip Holding B.V.|Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process|
US10679870B2|2018-02-15|2020-06-09|Applied Materials, Inc.|Semiconductor processing chamber multistage mixing apparatus|
US10964512B2|2018-02-15|2021-03-30|Applied Materials, Inc.|Semiconductor processing chamber multistage mixing apparatus and methods|
US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus|
US10658181B2|2018-02-20|2020-05-19|Asm Ip Holding B.V.|Method of spacer-defined direct patterning in semiconductor fabrication|
US10361092B1|2018-02-23|2019-07-23|Lam Research Corporation|Etching features using metal passivation|
US10975470B2|2018-02-23|2021-04-13|Asm Ip Holding B.V.|Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment|
TWI716818B|2018-02-28|2021-01-21|美商應用材料股份有限公司|形成氣隙的系統及方法|
US10593560B2|2018-03-01|2020-03-17|Applied Materials, Inc.|Magnetic induction plasma source for semiconductor processes and equipment|
US10319600B1|2018-03-12|2019-06-11|Applied Materials, Inc.|Thermal silicon etch|
US10497573B2|2018-03-13|2019-12-03|Applied Materials, Inc.|Selective atomic layer etching of semiconductor materials|
US11114283B2|2018-03-16|2021-09-07|Asm Ip Holding B.V.|Reactor, system including the reactor, and methods of manufacturing and using same|
KR20190113580A|2018-03-27|2019-10-08|에이에스엠 아이피 홀딩 비.브이.|기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조|
US11230766B2|2018-03-29|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method|
US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber|
US11088002B2|2018-03-29|2021-08-10|Asm Ip Holding B.V.|Substrate rack and a substrate processing system and method|
KR20190114682A|2018-03-30|2019-10-10|에이에스엠 아이피 홀딩 비.브이.|기판 처리 방법|
US10573527B2|2018-04-06|2020-02-25|Applied Materials, Inc.|Gas-phase selective etching systems and methods|
US10490406B2|2018-04-10|2019-11-26|Appled Materials, Inc.|Systems and methods for material breakthrough|
US10699879B2|2018-04-17|2020-06-30|Applied Materials, Inc.|Two piece electrode assembly with gap for plasma control|
US10886137B2|2018-04-30|2021-01-05|Applied Materials, Inc.|Selective nitride removal|
KR20190129718A|2018-05-11|2019-11-20|에이에스엠 아이피 홀딩 비.브이.|기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조|
JP2019197856A|2018-05-11|2019-11-14|東京エレクトロン株式会社|エッチング方法およびエッチング装置|
US11205524B2|2018-05-17|2021-12-21|Georgia Tech Research Corporation|Integrated atomic beam collimator and methods thereof|
US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures|
KR20200002519A|2018-06-29|2020-01-08|에이에스엠 아이피 홀딩 비.브이.|박막 증착 방법 및 반도체 장치의 제조 방법|
US10612136B2|2018-06-29|2020-04-07|ASM IP Holding, B.V.|Temperature-controlled flange and reactor system including same|
US10755922B2|2018-07-03|2020-08-25|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10388513B1|2018-07-03|2019-08-20|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition|
US10755941B2|2018-07-06|2020-08-25|Applied Materials, Inc.|Self-limiting selective etching systems and methods|
US10872778B2|2018-07-06|2020-12-22|Applied Materials, Inc.|Systems and methods utilizing solid-phase etchants|
US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components|
US10672642B2|2018-07-24|2020-06-02|Applied Materials, Inc.|Systems and methods for pedestal configuration|
US10483099B1|2018-07-26|2019-11-19|Asm Ip Holding B.V.|Method for forming thermally stable organosilicon polymer film|
US11053591B2|2018-08-06|2021-07-06|Asm Ip Holding B.V.|Multi-port gas injection system and reactor system including same|
US10883175B2|2018-08-09|2021-01-05|Asm Ip Holding B.V.|Vertical furnace for processing substrates and a liner for use therein|
US10829852B2|2018-08-16|2020-11-10|Asm Ip Holding B.V.|Gas distribution device for a wafer processing apparatus|
US11024523B2|2018-09-11|2021-06-01|Asm Ip Holding B.V.|Substrate processing apparatus and method|
US10892198B2|2018-09-14|2021-01-12|Applied Materials, Inc.|Systems and methods for improved performance in semiconductor processing|
US11049755B2|2018-09-14|2021-06-29|Applied Materials, Inc.|Semiconductor substrate supports with embedded RF shield|
US11049751B2|2018-09-14|2021-06-29|Asm Ip Holding B.V.|Cassette supply system to store and handle cassettes and processing apparatus equipped therewith|
US11062887B2|2018-09-17|2021-07-13|Applied Materials, Inc.|High temperature RF heater pedestals|
US11232963B2|2018-10-03|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method|
US10847365B2|2018-10-11|2020-11-24|Asm Ip Holding B.V.|Method of forming conformal silicon carbide film by cyclic CVD|
US10811256B2|2018-10-16|2020-10-20|Asm Ip Holding B.V.|Method for etching a carbon-containing feature|
KR20200045067A|2018-10-19|2020-05-04|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치 및 기판 처리 방법|
US11121002B2|2018-10-24|2021-09-14|Applied Materials, Inc.|Systems and methods for etching metals and metal derivatives|
US10381219B1|2018-10-25|2019-08-13|Asm Ip Holding B.V.|Methods for forming a silicon nitride film|
US11087997B2|2018-10-31|2021-08-10|Asm Ip Holding B.V.|Substrate processing apparatus for processing substrates|
US11031242B2|2018-11-07|2021-06-08|Asm Ip Holding B.V.|Methods for depositing a boron doped silicon germanium film|
US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures|
US10847366B2|2018-11-16|2020-11-24|Asm Ip Holding B.V.|Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process|
US10559458B1|2018-11-26|2020-02-11|Asm Ip Holding B.V.|Method of forming oxynitride film|
US11217444B2|2018-11-30|2022-01-04|Asm Ip Holding B.V.|Method for forming an ultraviolet radiation responsive metal oxide-containing film|
US11158513B2|2018-12-13|2021-10-26|Asm Ip Holding B.V.|Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures|
US10920319B2|2019-01-11|2021-02-16|Applied Materials, Inc.|Ceramic showerheads with conductive electrodes|
KR20200091543A|2019-01-22|2020-07-31|에이에스엠 아이피 홀딩 비.브이.|기판 처리 장치|
CN111524788A|2019-02-01|2020-08-11|Asm Ip私人控股有限公司|氧化硅的拓扑选择性膜形成的方法|
JP2020136678A|2019-02-20|2020-08-31|エーエスエム・アイピー・ホールディング・ベー・フェー|基材表面内に形成された凹部を充填するための方法および装置|
KR20200102352A|2019-02-20|2020-08-31|에이에스엠 아이피 홀딩 비.브이.|처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치|
KR20200108243A|2019-03-08|2020-09-17|에이에스엠 아이피 홀딩 비.브이.|SiOC 층을 포함한 구조체 및 이의 형성 방법|
US10535524B1|2019-03-11|2020-01-14|Taiwan Semiconductor Manufacturing Company, Ltd.|Tuning threshold voltage through meta stable plasma treatment|
USD935572S1|2019-05-24|2021-11-09|Asm Ip Holding B.V.|Gas channel plate|
USD922229S1|2019-06-05|2021-06-15|Asm Ip Holding B.V.|Device for controlling a temperature of a gas supply unit|
USD931978S1|2019-06-27|2021-09-28|Asm Ip Holding B.V.|Showerhead vacuum transport|
US11227782B2|2019-07-31|2022-01-18|Asm Ip Holding B.V.|Vertical batch furnace assembly|
USD940837S1|2019-08-22|2022-01-11|Asm Ip Holding B.V.|Electrode|
USD930782S1|2019-08-22|2021-09-14|Asm Ip Holding B.V.|Gas distributor|
RU2722690C1|2019-11-29|2020-06-03|Федеральное государственное бюджетное образовательное учреждение высшего образования "ДАГЕСТАНСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ"|Устройство для получения широкоапертурного низкоэнергетичного потока ионов|
WO2021214868A1|2020-04-21|2021-10-28|株式会社日立ハイテク|プラズマ処理装置|
法律状态:
优先权:
申请号 | 申请日 | 专利标题
US13/187,437|US8617411B2|2011-07-20|2011-07-20|Methods and apparatus for atomic layer etching|
[返回顶部]